Biblioteker skrevet i VHDL

spi-fpga

SPI master og SPI slave til FPGA skrevet i VHDL.
  • 132
  • MIT

ethernet_mac

Tri-mode (10/100/1000) fuld-dupleks FPGA ethernet MAC i VHDL.
  • 126
  • GNU General Public License v3.0

w11

PDP-11/70 CPU-kerne og SoC.
  • 111
  • GNU General Public License v3.0 only

Rudi-RV32I

En rudimental RISCV CPU, der understøtter RV32I instruktioner, i VHDL.
  • 98
  • MIT

sdram-fpga

En FPGA-kerne til en simpel SDRAM-controller..
  • 96
  • MIT

deniser

Amiga Denise chip udskiftning.
  • 82

dvb_fpga

RTL implementering af komponenter til DVB-S2.
  • 79
  • GNU General Public License v3.0

fpga_puf

:key: Teknologiagnostisk Physical Unclonable Function (PUF) hardwaremodul til enhver FPGA..
  • 79
  • BSD 3-clause "New" or "Revised"

PipelineC-Graphics

Grafik demoer.
  • 77

AXI4

AXI4 Full, Lite og AxiStream verifikationskomponenter. AXI4 Interface Master, Responder og Hukommelsesverifikationskomponenter. AxiStream sender og modtager verifikationskomponenter.
  • 77
  • GNU General Public License v3.0

neoTRNG

🎲 En lillebitte og platformsuafhængig True Random Number Generator til enhver FPGA..
  • 75
  • BSD 3-clause "New" or "Revised"

fpga-fft

En yderst optimeret streaming FFT-kerne baseret på Baileys 4-trins store FFT-algoritme.
  • 71
  • GNU General Public License v3.0

uart-for-fpga

Simpel UART-controller til FPGA skrevet i VHDL.
  • 69
  • MIT

CoPro6502

FPGA-implementeringer af BBC Micro Co-processorer (65C02, Z80, 6809, 68000, x86, ARM2, PDP-11, 32016).
  • 68
  • GNU General Public License v3.0 only

R3DUX

  • 58
  • GNU General Public License v3.0 only

mc1

En computer (FPGA SoC) baseret på MRISC32-A1 CPU.
  • 48
  • zlib

NN_RGB_FPGA

FPGA-design af et neuralt netværk til farvedetektering.
  • 44
  • MIT

catapult-v3-smartnic-re

Dokumentation af Catapult v3 SmartNIC FPGA-kort (Dragontails Peak & Longs Peak).
  • 40

neoapple2

Port af Stephen A. Edwards's Apple2fpga til PYNQ-Z1 (Xilinx Zynq FPGA), for at efterligne en Apple II+..
  • 40

Apple-II_MiSTer

Apple II+ til MiSTER.
  • 40

neorv32-setups

📁 NEORV32-projekter og eksemplariske opsætninger til forskellige FPGA'er, boards og (open source) værktøjskæder..
  • 37
  • BSD 3-clause "New" or "Revised"

fpu

IEEE 754 floating point-bibliotek i system-verilog og vhdl (af taneroksuz).
  • 34
  • Apache License 2.0

vhdl-tutorial

  • 34
  • GNU General Public License v3.0 only

ZPUFlex

En meget konfigurerbar og kompakt variant af ZPU-processorkernen.
  • 32

bonfire-cpu

FPGA-optimeret RISC-V (RV32IM) implementering.
  • 31
  • GNU General Public License v3.0

C128_MiSTer

[Flyttet til: https://github.com/MiSTer-devel/C128_MiSTer] (af eriks5).
  • 30

a2i

A2I-kernen blev brugt som den generelle processor til BlueGene/Q, efterfølgeren til BlueGene/L og BlueGene/P supercomputere (af OpenPOWERFoundation).
  • 25
  • GNU General Public License v3.0

FPGA-Vision

Lær om billedbehandling med en FPGA. Videoforelæsninger forklarer algoritme og implementering af vognbaneregistrering til bilkørsel. Ægte hardware er tilgængelig som et fjernlaboratorium..
  • 25
  • GNU General Public License v3.0

fpga_torture

🔥 Teknologiagnostisk FPGA-stresstest: maksimal logikudnyttelse og højt dynamisk strømforbrug.
  • 25
  • BSD 3-clause "New" or "Revised"

Compliance-Tests

Tests for at evaluere understøttelsen af ​​VHDL 2008 og VHDL 2019 funktioner.
  • 24
  • Apache License 2.0